Come faccio ad assegnare uno std_logic_vector al signed e viceversa???
Inoltre è possibile definire signed un segnale di un solo bit????
library IEEE;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity Forum is
port(
a: in std_logic_vector (0 to 0);
b: out signed (0 downto 0)
);
end Forum;
architecture rtl of Forum is
begin
b <= signed(a);
end rtl;
Users browsing this forum: No registered users and 6 guests